Open3S250E用户手册

来自Waveshare Wiki
Waveshare-admin讨论 | 贡献2020年11月20日 (五) 16:03的版本 (Text replace - "http://www.waveshare.net/" to "https://www.waveshare.net/")
(差异) ←上一版本 | 最后版本 (差异) | 下一版本→ (差异)
跳转至: 导航搜索

概述

    本用户手册描述了微雪电子Xilinx Spartan-3E FPGA系列开发板[1] Open3S250E[2]的设计原理和示例使用教程,旨在帮助用户快速上手入门。

硬件设计

    本章节主要讲解Core3S250E最小核心板硬件的设计思路,带你见证XC3S250E从一个芯片蜕变到Core3S250E电路板的过程。

    从核心板的简介图可以看到除了主控芯片外,还有AMS1117稳压器件、XCF02S串行FLASH器件、晶振、JTAG接口、LED和按键等器件。那么这些器件是如何与XC3S250E连接在一起的,为什么要这样连接?都发挥着什么作用?

电源电路

    电源电路是Core3S250E板子能够正常工作最基本的电路。查芯片手册可知,XC3S250E需要1.2V电压供给内部逻辑电压(VCCINT),需要2.5V来供给PLL模拟电压(Vccaux),另外IO电压(VCCO_0/1/2/3)可接入1.2 V、1.5 V、1.8 V、2.5 V和3.3 V等不同的电压来给每个片区提供不同的电压标准。因此,在设计上,把输入的5V电压分别转换为3.3V、2.5、1.2V等来维持板子正常工作。同时为了方便检测电源的工作状态,板子在3.3V电源输出处接上LED发光二极管(电源指示灯)。电源电路原理图如下:

电源电路

引脚名称 描述
5V 外部输入5V供电电压
VCC3.3 经AMS1117-3.3稳压器件转压的3.3V电压,一般用于供给时钟、配置电路等电压和特殊功能引脚高电平等。
VCC2.5 经AMS1117-2.5稳压器件转压的2.5V电压,一般用于供给Vccaut、VCCO_X电压等。
VCC1.2 经AMS1117-1.2稳压器件转压的1.2V电压,一般用于供给的VCCINT、VCCO_X等。

时钟电路

    在FPGA设计中时钟的最好解决方案是:由专用的全局时钟输入引脚驱动的单个主时钟去钟控设计中的每一个时序器件,只要有可能就应该尽量在设计项目中采用全局时钟,FPGA都具有专门的全局时钟引脚,它直接连到器件中的每一个寄存器。在器件中,这种全局时钟能提供最短的时间延时。在设计中我们用到一个全局时钟口CLK,由于它是单个时钟口,所以我们考虑用有源晶振时钟作为外部时钟来源。板子采用的是50MHz的晶振,为系统提供精准的时钟源,其原理图如下:

时钟电路

引脚名称 描述
CLK 时钟输入

复位电路

    复位电路包括RST复位电路和nCONFIG重置电路。RST复位采用RESET按键开关构成的阻容复位电路,按下后,低电平有效产生复位信号。nCONFIG重置电路则由nCONFIG按键来触发,按下后可以使FPGA进行重新配置而不需要重启板子电源。其原理图如下:

复位电路

引脚名称 描述
RESET 低电平复位
PROG_B 低电平复位,恢复逻辑高电平即重新配置

配置/下载接口

    配置又称加载或下载,是对FPGA内容进行编程的一个过程。每次上电后需要进行配置是基于SRAM工艺FPGA的一个特点。在FPGA内部,有许多可编程的多路器、逻辑、互连线节点和RAM初始化内容等,都需要配置数据来控制。FPGA中配置RAM就起到了这样一个作用,它存放了配置数据的内容。

    根据FPAG在配置电路中的角色,其配置数据可以使用3种方式载入(Download)到目标器件,这三种分别是:FPGA主动(Active)方式、FPGA被动(Passive)方法和JTAG方式。JTAG接口是一个业界标准接口,FPGA基本上都可以支持JTAG命令来配置FPGA,而且JTAG配置方式比其他任何一种配置方式优先级都高,因此,我们在板子提供了JTAG配置方式,其配置接口电路图如下所示:

    用户可以使用专用的Xilinx专用下载器Platform Cable USB[3]进行下载调试。如需编程到XCF02S芯片需要对下载文件格式进行转换[4]

JTAG接口

引脚名称 描述
TDI 用于测试数据的输入
TDO 用于测试数据的输出
TMS 模式控制管脚,决定JTAG电路内部的TAP状态机的跳转
TCK 测试时钟,其他信号线都必须与之同步

配置电路

    为了使FPGA掉电后仍然能够保持程序数据,FPGA需要外接配置芯片,这里选用了Xilinx公司的串行FLASH存储器XCF02S。XCF02S属于增强型配置器件,容量达2Mbit,支持对大容量FPGA的单片配置,它们可以由JTAG接口进行在系统编程(In System Programming)。其对应配置电路原理图如下:

配置电路

LED电路

    板载4个用户LED,每个LED都是直接由FPGA芯片上一个引脚来驱动的,当给对应的引脚一个逻辑低电平时,点亮LED,原理图如下所示:

Xilinx-LED-Circuit.png

扩展板接口

    这一系列Open板子采用核心板和扩展板分离的方式,这种灵活的设计模式一方面方便用户根据自己的需求自行设计外接电路,方便快捷;另一方面核心板和底板接口设计充分考虑了与其他FPGA板子的兼容和通用性,方便用户升级换代

开发板基本操作

上电和下载

    给Core3S250E板子供电,需要给板子上对应的5V和GND接入5V的供电电源。供电正常的情况下,PWR_LED亮起。板载了JTAG下载标准接口,可使用专用的Platform Cable USB下载器接入JTAG接口进行下载操作,详见下图。
接入Platform Cable USB下载器

入门实验

    在下列入门实验中的都要给板子供电。在提供的示例程序中有Verilog、VHDL两种语言,在实验时要下载对应的测试程序。
    下例实验中用的板子为Open3S500E,其他型号的Xilinx板子也是类似的操作,若有部分实验不一样会有特别说明。

实验一、点亮LED

语言

Verilog

VHDL

例程名

LED

步骤

  1. 下载示例程序

现象

  • LED闪动

实验二、控制摇杆JOYSTICK实验

语言

Verilog

VHDL

例程名

JOYSTICK

步骤

  1. 接上JOYSTICK JMP跳线帽
  2. 下载示例程序

现象

  • 拨动摇杆不同的方向,LED有对应的变化

实验三、8 Push Buttons按键实验

语言

Verilog

VHDL

例程名

8 Push Buttons

步骤

  1. 将8 Push Buttons接入8I/Os_2接口
  2. 下载示例程序

现象

  • 按8 Push Buttons上按键,LED有对应的变化

实验四、8 SEG LED Board数码管实验

语言

Verilog

VHDL

例程名

8 SEG LED Board

步骤

  1. 将8 SEG LED Board接入16I/Os_2接口
  2. 下载示例程序

现象

  • 数码管显示

实验五、4x4 Keypad矩阵键盘控制数码管实验

语言

Verilog

VHDL

例程名

4x4 Keypad

步骤

  1. 将4x4 Keypad连接到8I/Os_2接口上
  2. 将8 SEG LED Board插入16I/Os_2
  3. 下载示例程序

现象

  • 按4x4 Keypad上按键,LED有对应的显示

实验六、DS18B20温度传感器实验

语言

Verilog

例程名 DS18B20
步骤
  1. 将DS18B20插入1-WIRE接口
  2. 将8 SEG LED Board插入16I/Os_1
  3. 下载示例程序
现象
  • 数码管显示温度

实验七、蜂鸣器实验

语言

Verilog

VHDL

例程名

PWM / Buzzer

步骤

  1. 接上Buzzer JMP跳线帽
  2. 下载示例程序

现象

  • 蜂鸣器响

实验八、PS/2键盘实验

语言

Verilog

VHDL

例程名

PS2

步骤

  1. 将VGA PS2 Board插入16I/Os_2,PS2接口接上PS2键盘
  2. 将LCD1602插入LCD1602接口,LCD1602的1脚对应 板子LCD接口处标有丝印“1”的地方
  3. 下载示例程序

现象

  • LCD1602显示PS2按键值

实验九、VGA显示实验

语言

Verilog

VHDL

例程名 VGA
步骤
  1. 将VGA PS2 Board插入16I/Os_2,接上VGA电脑显示器
  2. 下载示例程序
现象
  • VGA显示器显示 

实验十、字符LCD1602实验

语言

Verilog

VHDL

例程名 LCD1602
步骤
  1. 将LCD1602插入LCD1602接口,LCD1602的1脚对应
    板子LCD接口处标有丝印“1”的地方
  2. 下载示例程序
现象
  • LCD1602显示

实验十一、点阵LCD12864实验

语言

Verilog

VHDL

例程名

LCD12864
步骤
  1. 将LCD12864插到开发板的LCD接口上
  2. 下载示例程序
现象
  • LCD12864显示

实验十二、USB通信实验

语言

VHDL

例程名

USB
步骤 将CY7C68013A USB Board插入32I/Os_1接口,并把它的另外一端接到PC机上
下载示例程序
现象 通过上位机USB_LED.exe可以控制开发板上的LED

实验十三、UART串口通信实验

语言

Verilog

VHDL

例程名

UART
步骤
  1. 将PL2303 USB UART Board插入8I/Os_1,并把它的另外一端接到PC机上
  2. 将8 SEG LED Board插入16I/Os_2 (在VHDL实验才用接)
  3. 下载示例程序
现象
  • 打开串口调试助手,波特率设置为9600bps,发送一个任意键盘字母或数字在串口调试助手显示
  • 打开串口调试助手,波特率设置为9600bps,发送一个数字会在数码管上显示

实验十四、I2C EEPROM实验

语言

Verilog

VHDL

例程名

AT24CXX
步骤
  1. 将AT24CXX EEPROM Board插入8I/Os_1
  2. 将8 SEG LED Board插入16I/Os_2接口
现象
  • 有两个数码管显示,其中的一个显示SDRAM_L的1,3,5,7管脚对AT24CXX中写入的数据,另外一个显示对从AT24CXX读到的数据,先用跳线帽短接H2的1,2脚,再拔掉1,2脚跳线帽,短接SDRAM_R的3,4脚,则对应的写入的数据会先写入到AT24CXX然后被读出来显示在数码管上

实验十五、AT45DB实验

语言 Verilog

例程名

AT45DBXX_SPI
步骤
  1. 将AT45DBXX DataFlash Board插入8I/Os_2
  2. 下载示例程序
现象
  • LED先显示写入AT45DBXX DataFlash Board的
    数值再显从AT45DBXX DataFlash Board读的数值

实验十六、PCF8563 时钟实验

语言

Verilog

例程名

PCF8563
步骤
  1. 将PCF8563 RTC Board插入8I/Os_2
  2. 将8 SEG LED Board插入16I/Os_2接口
  3. 下载示例程序
现象
  • 数码管显示时间

实验十七、PCF8591 AD转换实验

语言

Verilog

例程名

PCF8591
步骤
  1. 将PCF8591 AD DA Board插入8I/Os_2接口
  2. 将8 SEG LED Board插入16I/Os_2接口
  3. 下载示例程序
现象
  • 右边2个数码管数字指示PCF8591 AD DA Board的AOUT脚电压;当AIN0检测到外部电压时,左边2个数码管显示外部电压经转换后的16进制数字量,当AIN0没有检测到外部电压时,左边2个数码管显示AOUT脚电压经转换后的16进制数字量

参考资料

  1. Xilinx系列开发板
  2. Open系列开发板简介
  3. Platform Cable USB产品简介
  4. 通过JTAG口对FPGA器件进行在线配置(也称可编程串行配置),详见:Platform Cable USB